-- Decodificador
--Especificación comportamental
--Puede comprobarse su simplicidad (aunque requiere conversión de tipos)

Library ieee;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.ALL;
use ieee.std_logic_arith.ALL;

--Definición de la entidad
Entity dec_bhr is port

(x: in std_logic_vector(3 downto 0);
sel: in std_logic;
z: out std_logic_vector(15 downto 0));

end dec_bhr;

--Arquitectura
Architecture bhr of dec_bhr is
begin

process (x)
begin

z<=(others=>'0'); -- Se colocan todas las salidas a cero
if sel='1' then z(conv_integer(x)) <= '1'; --Entonces se activa la salida correcta
end if;

end process;

end bhr;


WcN - Joan Oliver. Diseño de circuitos digitales con VHDL